library IEEE; use IEEE.std_logic_1164.all; entity presentation_LED is port (switch1, switch2 : in std_logic; display_LED : out std_logic); end presentation_LED; architecture arch of presentation_LED is begin display_LED <= switch1 OR switch2; end arch;